![影片讀取中](/images/youtube.png)
... <看更多>
Search
#1. [Day5]if..else & case - iT 邦幫忙
Verilog 從放棄到有趣系列第5 篇 ... always@(posedge clk)begin if(reset)begin end else if(判斷條件1)begin 敘述1 end else if(判斷條件2)begin 敘述2 end ...
#2. Verilog if-else-if - ChipVerify
Verilog if-else-if. This conditional statement is used to make a decision on whether the statements within the if block should be executed or not.
#3. 4.5 Verilog 条件语句- if - 菜鸟教程
else if 与else 结构可以省略,即可以只有一个if 条件判断和一组执行语句ture_statement1 就可以构成一个执行过程。 else if 可以叠加多个,不仅限于1 或2 个。
#4. `define/`if `elsif `else `endif 用途 - RTL 方舟
求學階段總有很多前輩說學verilog 已經比賣雞排的人多了。 但是你常常可以吃到很好吃的雞排,卻很少可以看到寫很好的verilog。
#5. [ Verilog Tutorial ] 行為模型的敘述: always, if/else, case 與for ...
[ Verilog Tutorial ] 行為模型的敘述: always, if/else, case 與for loop · module test(a, b, out); · input a, b; · output out; · reg out; · always@(a or ...
#6. if - else條件敘述
來看其他網友有什麼好答案~. 這是從文章延伸的相關問答呦!讓每個有疑惑的人都能獲得幫助! 更多jk3527101 的Verilog HDL 推薦文章.
本文首发于微信公众号“花蚂蚁”,想要学习FPGA及Verilog的同学可以关注一下。1. if_else ... Verilog HDL语言提供了… ... else if(表达式2) 语句2;.
#8. Verilog if else structure - Stack Overflow
Or is there any instance you can think of where if else begin if and if else if might be different in any code structure? Share.
多工器Mux 常用的描述方法在處理if-else 或Mux 的時候,在verilog 裡面有下列三種 ... always @(*)begin if( 條件一)begin ... end else if( 條件二)begin ... end ...
#10. Verilog-FPGA硬體電路設計之一——if語句優先順序問題- IT閱讀
if..else if.. else if … …else..語句中是有優先順序的,第一個if具有最高優先順序,最後一個else優先順序最低。Quartus綜合出的RTL圖認為,最高優先 ...
#11. [問題] Verilog寫不寫else差異- 看板Electronics - 批踢踢實業坊
想請教一下有涉獵Verilog的朋友們,用always block來描述Sequential circuit ... begin if (reset==0) Q<=0; else if (enable)Q<=D; end endmodule .
#12. Verilog Behavioral Modeling Part-II - ASIC-World
The if - else statement controls the execution of other statements. In programming language like c, if - else controls the flow of program. When more than one ...
#13. Verilog - 維基百科,自由的百科全書
為了使設計人員方便地使用暫存器傳輸級描述,Verilog提供了多種流程控制結構,包括 if 、 if...else 、 if...else if...else 等形式的條件結構, case 分支結構, for ...
#14. 行為層次Behavior Level | Verilog HDL 教學講義
5.2 if-else敘述. 範例: if( 判斷條件1 ) begin 敘述1; end else if( 判斷條件2 ) begin 敘述2; end else begin 敘述3; end ...
#15. if-else Statements -Verilog Tutorial: electroSofts.com
This tutorial explines coding ASIC, FPGA, CPLD designs using Verilog. ... Verilog Tutorial: Harsha Perla. if-else Statements ... else if ( counter == 15 )
#16. verilog不进入elseif_verilogcase语句举例_if else的简单例题
verilog 不进入elseif最新消息,还有verilogcase语句举例,if else的简单例题,if else if语句流程图等内容,题目中的语句不会出现同时满足多个condition的情况下执行多 ...
#17. verilog 中if....else语句以及case语句详细理解 - CSDN博客
1、if else:(1)if(表达式)语句;(2)if(表达式) 语句一else 语句二(3)if(表达式1) 语句一; else if(表达式2) 语句2; else if(表达 ...
#18. else if文 - recs
else文. else文はif文と組みで使用し、条件式が偽の時にelse文内に書かれた処理を選択します。 if(条件式) 条件式が真のときの処理(一行) else 条件式が偽の時の ...
#19. php的else+if判断多次_verilog不进入elseif_js大量的else if - 小寇百科网
php的else+if判断多次最新消息,还有verilog不进入elseif,js大量的else if,elseif和else if等内容,TRUE (如果有的话)的elseif 部分将会执行.
#20. If statement - Doulos
Variable declaration. It is a fundamental rule of the Verilog HDL that any object that is assigned a value in an always statement must be declared as a variable ...
#21. Verilog学习笔记基本语法篇(五)········ 条件语句 - 博客园
else if (表达式n) 语句n;. 说明:. 1)3种形式的if语句后面都有表达式,一般为逻辑表达式或关系表达式。当表达式的值为1,按真处理,若为0、x、z, ...
#22. 【文章推薦】Verilog中if和else if的各種用法總結- 碼上快樂
當使用if else if判斷時,第一級優先級最高,當第n級結果成立后,后面的n ,n . ... Verilog 的`include和C語言的include用法是一樣一樣的,要說區別可能就在於那個點 ...
#23. Verilog RTL優化策略(一):推薦使用assign語法替代if-else和 ...
參考《手把手教你設計CPU——RISC-V處理器篇》先給出不用if-else和case的原因Verilog中的if-else和case語法存在兩大缺點:不能傳播不定態X; ...
#24. if else statement verilog Code Example
if (condition1) begin ... end else if (condition2) begin ... end else begin ... end.
#25. 1 Situations where the `ifdef, `else, `elsif, `endif, and `ifndef ...
— When an `ifdef is encountered, the ifdef text macro identifier is tested to see if it is defined as a text macro name using `define within the Verilog HDL ...
#26. verilog多个if并列_verilog不进入elseif_并列if函数的使用方法
verilog 多个if并列最新消息,还有verilog不进入elseif,并列if函数的使用方法,if函数4个条件并列等内容,有优先级的.前面的进去了后面就没有了.
#27. System Verilog syntax error HDL 9-806 with simple ...
It's a little confusing in that the precompiler DOES define a `elsif. But there's no equivalent procedural code for a direct else if. However it's still just as ...
#28. Verilog Ifdef Elseif: Detailed Login Instructions - Loginnote
Verilog Ifdef Elseifand the information around it will be available here. ... Conditional compilation can be achieved with Verilog `ifdef and `ifndef ...
#29. Verilog全新語法認識--Xilinx language template - 台部落
背景1、verilog語法篇1.1、common constructs1.2 、compiler ... The `define, `ifdef, `elsif, `else, `ifndef and the `endif compiler directives ...
#30. Multiplexer - Class Home Pages
Multiplexer. There are three ways in Verilog to model a multiplexer, they are: one if statement with multiple elseif / else if clauses; nested if statements ...
#31. 4.5 Verilog 條件語句 - it編輯入門教程
條件語句使用結構說明如下: if (condition1) true_statement1 ; else if (condition2) true_statement2 ; else if (condition3) true_..
#32. Modeling Digital Systems with Verilog
with Verilog. Prof. Chien-Nan Liu ... Ex: If (K1 = 1) then (R0 ← R1) else if (K2 = 1) then (R0 ← R2) ... else if (Load) int_data = Data;.
#33. `elsif vs `elseif and unexpected behavior | Verification Academy
and even though I used +define+D , the code compiled and simulated with the unexpected "alert user they need to use a +define". What???
#34. Verilog 語法教學
艾鍗學院-FPGA數位IC設計實戰http://bit.ly/2NRJUKA 課程分成三個階段,階段一說明FPGA設計架構、Verilog語法、並行運算處理與有限狀態機設計TestBench及功能。
#35. verilog不进入elseif_老师办公室英语读法_老师办公室英语怎么说
verilog 不进入elseif最新消息,还有老师办公室英语读法,老师办公室英语怎么说,教师办公室翻译成英语等内容,(殷老师不知道肖先成住办公室所以肖先成不能给殷老师说 ...
#36. Implementing Counters (Verilog HDL) - Intel
The example below shows a Verilog Design File (.v) that includes a variety of ... else if (enable) qe <= qe + 1; end // An enable up/down counter always ...
#37. Verilog - gists · GitHub
else if (ENGINE == 0) ENGINE = ENGINE_STATE;. end. function F_Judge_ES;. input[7:0] SPEED;. input[3:0] GEAR;. input ACCELE,CLUCH,START;. input[2:0] GCHANGE;.
#38. 【問題】verilog @程式設計板哈啦板
D; else if (A==0&&B==1&&C==1) H=0; else if (A==1&&B==0&&C==0) H=0; else if (A==1&&B==0&&C==1) H=D; else if ... 【問題】verilog.
#39. The Verilog hardware description language
Verilog – created in 1984 by Philip Moorby of Gateway Design ... Verilog is case sensitive (VHDL is case insensitive) ... else if (S == 2'b01) Z1 = B;.
#40. Conditional Operator - Verilog Example - Nandland
Learn what the question mark in Verilog is used for. ... This could be achieved with a bunch of if-else if-else if combinations, or a case statement, ...
#41. Advanced Verilog Coding - SOC & DSP Lab
YANG S.W. [email protected]. C Verilog HDL. Design & Simulation. 25 always @(reset or clock or d) begin if (reset) q = 1'b0; else if(clock).
#42. Verilog If - Zechariahxalhec
Verilogvhdl Interview Question Difference Between If Else If Elseif Else And Case Statements Youtube. Ultimate Guide Verilog Test Bench ...
#43. Verilog-HDL
Introduction; Basics of the Verilog Language; Gate-level modeling; Data-flow modeling; Behavioral modeling; Task and function ... if and else if statements.
#44. Verilog的行為模型與七段顯示器
瞭解Verilog 的行為模型(Behavior Modeling). ➢利用FPGA板與虛擬元實作 ... ➢Verilog可以讓設計者用演算法來描述設計的功能,也就是電路的 ... else if (expression).
#45. if、#elif、#else 和#endif 指示詞(C/C++)
深入瞭解: #if、#elif、#else 和#endif 指示詞(C/c + +)
#46. Verilog if-else-if syntax - Electrical Engineering Stack Exchange
You need to add a b base specifier to your 3-bit constants. In your code, 010 is the decimal value ten, not two.
#47. System Verilog - Part 3 - Oregon State University
module encoder( input in0, in1, in2, in3, output logic [3:0] encoded_output; always_comb priority if (in0) encoded_output = 4'b0001; else if. (in1) ...
#48. verilog中if-else和case的比较_ViV587的博客-程序员宝宝
比较if-else_if-else和case:1、if(a==2'b00) 语句1; else if(a==2'b01) 语句2; else 语句3; case(a) 2'b00: 语句1; 2'b01: 语句2; default: 语句3; ...
#49. If else statement verilog - Code Helper
if (condition1) begin ... end else if (condition2) begin ... end else begin ... end.
#50. Writing Successful RTL Descriptions in Verilog
to understand common pitfalls in coding Verilog that can cause an RTL/gate-level simulation discrepancy. ... else if (request[2]) grant_next = 4'b0100;.
#51. Re: [sv-bc] Alternative to unique/priority if...else - Accellera
else if (opcode == 1) y = a - b; ... SW 92nd Place >>> phone: 503-692-0898 Tualatin, OR 97062 >>> Sutherland HDL, Inc. -- Training Engineers to be Verilog, ...
#52. Verilog語法
❖Verilog的語法協定. ❖基本資料型態. ❖輸入輸出埠 ... 如C語言的函數一般,Verilog的模組中不能再有. 其他的模組存在 ... end else if (expression) begin.
#53. Verilog——if语句的优先级问题 - 程序员宅基地
一、有优先级的if语句if..else if.. else if … …else..语句中是有优先级的,第一个if具有最高优先级,最后一个else优先级最低。Quartus综合出的RTL图认为,最高优先级 ...
#54. Conditional Statements in Verilog - always block, If-else ...
#55. RTL Description Rules and Checks - MATLAB & Simulink
Verilog /VHDL: Chain of if...else if constructs must not be exceed default number of levels. The generated HDL code contains an if-elseif statement with more ...
#56. L3: Introduction to Verilog (Combinational Logic) - MIT
Dangers of Verilog: Priority Logic module binary_encoder(i, e); input [3:0] i; output [1:0] e; reg e; always @(i) begin if (i[0]) e = 2'b00; else if (i[1]) ...
#57. 快時鐘域同步到慢時鐘域--握手協議--verilog實現 - 程式人生
else if (req_a_2 == 1'b1) begin ack_b <= 'b1; end else begin ack_b <= 'b0; end end always @(posedge clka or negedge rst) begin//將慢時鐘域 ...
#58. If Statements and Case Statements in Verilog - FPGA Tutorial
The if statement is a conditional statement which uses boolean conditions to determine which blocks of verilog code to execute. Whenever a ...
#59. 程式中邏輯內涵的用意? ( 用If-else和case的舉例) - 數位工程師 ...
else if (value==2'b01) ... 希望下次當你在寫 verilog 程式時,不是只是把它當成是電路來做,而是把它當成「程式」來看待,畢竟它的名稱還是叫做 ...
#60. Verilog class 2
Verilog class 2 ... if _else_if statement always @ (觸發訊號) begin if ( 條件1 ) begin. 執行邏輯運算1 end else if (條件2) begin. 執行邏輯運算2.
#61. Chapter 5 Verilog硬體描述語言- ppt download - SlidePlayer
Chapter 5 Verilog硬體描述語言. ... 通常if else if else會出現在Always迴圈底下,因為Always屬於並行迴圈,若再搭配條件判斷便可以進行串列(下面程式藍色部分) 與 ...
#62. Verilog Generate Configurable RTL Designs
Verilog generate statement is a powerful construct for writing ... used to construct complex if-else if conditional generate statements that ...
#63. Verilog 應用範例:除頻器適用於DE2 ... - alex9ufo 聰明人求知心切
RST ) CLK_Out <= 0; else if( CLK_Cnt <= Div2-1 ) CLK_Out = 0; else CLK_Out = 1'b1; end endmodule //適用於DE2-115 module MHz50_1KHz( input ...
#64. Verilog硬體描述語言的基本架構
通常if else if else會出現在Always迴圈底下,因為Always屬於並行迴圈,若再搭配條件判斷便可以進行串列(下面程式藍色部分) 與並列(下面程式綠色部分)處理。 Always@(判斷 ...
#65. Verilog常見必備面試題 - 人人焦點
使用verilog hdl通過異步RESET和SET實現鎖存器。 always @(clk or reset or set) begin if(set) Q=1; else if(!reset)
#66. 如何用verilog寫一個帶開始和停止信號的計數器? - 雪花台湾
else if (I_stop) begin. R_clk_cnt &<= 0;. end. else if(I_start) begin. R_clk_cnt &<= R_clk_cnt + 1b1;. end. else if(R_clk_cnt != 0) begin.
#67. verilog.vim - Apple Open Source
Vim syntax file " Language: Verilog " Maintainer: Mun Johl <Mun. ... if version < 600 syntax clear elseif exists("b:current_syntax") finish endif " Set the ...
#68. Two Stage Discovery and Power Processes - Verilog Code
else if (idletimerstart & ~rand_captured & disc_stage1_done). // here the fast random number is ... else if (timer == rand_idletime & rand_captured == 1).
#69. verilog小错误else if - 日记- 豆瓣
verilog 小错误else if else if(A)B; else if (C) D; 一起的时候,如果ac能同时满足就会跟设想的不一样,出现陷阱; 缺省else,如果变量保持不变可以 ...
#70. If Else If Verilog? - Hardware Coder
Example : wire [1:0] some_output ; wire input_0 , input_1 ; always @ * begin if ( input_0 == input_1 ) some_output = 2'b00 ; else
#71. Use Verilog to Describe a Combinational Circuit: The “If” and ...
1 module Prio_4_to_2( 2 input wire [3:0] x, 3 output reg [1:0] y, 4 output reg v 5 ); 6 always @* 7 if (x[3] == 1'b1) 8 y = 2'b11; 9 else if ...
#72. verilog if else if - Paxhg
Verilog if-else-if This conditional statement is used to make a decision on whether the statements within ... Click here to refresh if else if in Verilog !
#73. lcdctrl.v - Verilog HDL & FPGA
... if(index == 2) state <= `SETPOS1; else if(index == 5) state <= `SETPOS0; end end end always @(posedge clk or negedge reset) if(!reset) lcd_e <= 0; ...
#74. Synthesizable Coding of Synthesizable Coding of Verilog
❖Synthesizable Verilog coding subset ... else if (gate) t=d;. Translation ... Translation of Verilog (or VHDL) source to a netlist.
#75. verilog如何處理需要在兩個always中賦值的變數? - 劇多
舉例:always @ (posedge clk or negedge rst)if (!rst)cnt1 <= #1 3"d0;else if (cnt==4)cnt1 <= #1 3"d0;elsecnt <= #1 cnt+1;always @ (negedge ...
#76. Verilog Format - Visual Studio Marketplace
VerilogFormat Extension is a tool for formatter verilog files. ... else if (load == 0 && clk_baud == 1) bitc <= bitc + 1; // IndentWidth=1 ...
#77. HDL Coding Guidelines - Lattice Semiconductor
This chapter provides VHDL and Verilog HDL design guidelines ... For example, the following Verilog HDL statement ... elsif s = "01" then Out2 <= y;.
#78. Warp™ Verilog Reference Guide - Cypress Semiconductor
Verilog Language Constructs q = 0 ; else q = d ;. // multiple if-else statements if( p_state == 2'b1) next_state = 2'11 ; else if( p_state ...
#79. verilog語法實例學習(6) - 开发者知识库
verilog 中函數的目的是允許代碼寫成模塊的方式而不是定義獨立的模塊。函數通常用於計算或描述組合邏輯。如果在模塊內定義一個函數,則既可以用連續 ...
#80. Appendix A. Verilog Code of Design Examples
The next pages contain the Verilog 1364-2001 code of all design examples. ... IEEE STD 1364-2001 Verilog file: add1p.v ... end else if (! Load_x) begin.
#81. 7. Finite state machine - FPGA designs with Verilog
Then rising edge detector is implemented using Verilog code. Also, outputs of these two designs are compared. 7.3.1. State diagrams: Mealy and Moore design¶.
#82. Verilog加減可控任意進位計數器及占空比50%的任意整數分頻 ...
Verilog 加減可控任意進位計數器及占空比50%的任意整數分頻設計 ... LED2 = 1; end else if(A_S_CTRL == 1)// up counter加法計數begin DOUT = DOUT + ...
#83. //THIS IS EXAMPLE VERILOG CODE ONLY //This code is ...
FPGA – Verilog Sample Code 1: // // Master Reset and Programming Default Offset ... Enable output port // state <= read_operation; end endcase; else if (EF ...
#84. Verilog代码优化之case语句 - 与非网
Verilog 代码优化之case语句 题记:那天做完13路脉冲计数并写入dual RAM模块的设计后组长看了我的资源占用, ... else if(data<12) add <= 3;.
#85. 用Verilog 撰寫記憶體 - 陳鍾誠的網站
... m[4] <= 8'h04; data = 8'h00; end else if (en == 1 && r_w == 0) // r_w==0:write begin data = dbus_in; m[abus] = dbus_in; end else if (en ...
#86. For loop system verilog
for loop system verilog Verilog for loop not iterating. Enhanced for loop: In Verilog, The declaration of the variable must be before the loop and the ...
#87. else if verilog code example | Newbedev
Example: if else statement verilog if (condition1) begin ... end else if (condition2) begin ... end else begin ... end.
#88. [Verilog 踩雷部隊] 上機考用整理筆記
always @(*) begin if (i_s == 2'b00) begin a = 2'b00; b = 2'b01; end else if (i_s == 2'b10) begin a = 2'b10; b = 2'b11; end else begin a ...
#89. Verilog module definition cannot nest into module - Richard ...
verilog module definition cannot nest into module There are two ways to define constants: parameter `define; Parameter. Each schematic module consists of a ...
#90. SystemVerilog's priority & unique - A Solution to Verilog's "full_case ...
abused synthesis directives ever employed by Verilog synthesis design engineers. ... else if (case_expression === case_item2) case_item_statement2;.
#91. 基于verilog的VGA256色显示 - 360doc个人图书馆
else if (x_cnt == 10'd799) x_cnt <= 10'd0; else x_cnt <= x_cnt+1'b1; always @(posedge clk2 or negedge rst) //行扫描 if(!rst) y_cnt <= 10'd0;
#92. Verilog nested conditional operator
verilog nested conditional operator In both cases, the vacated bit ... in the following code is "If select = 0, q = d[0], else if select is 1 q = d[1].
#93. 對Verilog 初學者比較有用的整理(轉自它處) | 程式前沿
所謂綜合,就是把描述語言轉化成能硬體實現的電路,學verilog的時候, ... #9:在VERILOG語法中, if…else if … else 語句是有優先順序的,一般說來第 ...
#94. DTE Power discovery PLD Verilog
else if ( ds == 11'h0 ) ds[10:0] = #1 11'h1;. // initialize key stream else ds[10:0] = #1 s[10:0];. // save current key stream. //. // CIPHERTEXT STREAM.
#95. [verilog]if……else的一种糟糕的用法_huigenb - 新浪博客
[verilog]if……else的一种糟糕的用法_huigenb_新浪博客,huigenb, ... else if(in==5'd1) begin in<=in+5'd1; sop<=1'b0; out<=5'd24;
#96. verilog能否用else if - 搜狗搜索
Verilog 中if和else if的各种用法总结_weixin_30824479的博客-CSDN博客. 当全部使用if判断时,优先级从上到下(往下优先级越高),如果在某一级(设为第n级)的if下加入了else ...
#97. Sequence Detector in Verilog | 不斷的對話... - 點部落
Sequence Detector in Verilog ... else if (r_reg==S0 && str_in==1) n_reg=S1;. else if (r_reg==S1 && str_in==0) n_reg=S0;.
#98. Verilog中的条件编译语句`ifdef、`else、`endif 等 - 51CTO博客
一个`ifdef或者`ifndef可以匹配任意数量的`elsif命令。`ifdef或`ifndef总是用相应的`endif来结束。 Verilog文件中,条件编译标志可以用`define语句 ...
#99. Solved Answer the questions according to the verilog code
... to the verilog code below. module mux4_1 (X, S,y); input [3:0) X; input (1:0) output y; reg y; S; always @ (X or S) if (S == 0) y = X[0]; else if (S ...
#100. Verilog - Cornell ECE
Verilog Summary Cornell ece5760. Verilog Design. Verilog is one of several languages used to design hardware. It uses a C-like syntax to define wires, ...
verilog elseif 在 [問題] Verilog寫不寫else差異- 看板Electronics - 批踢踢實業坊 的美食出口停車場
想請教一下有涉獵Verilog的朋友們,用always block來描述
Sequential circuit時,若只想在某種情形下存入新的input值並且輸出
你們會用哪一種寫法?
原則上寫法1,不加else:
always@(posedge clk)
case(out_sel)
4'd1:if(V==4'd2)begin det0<=din; end
4'd2:if(V==4'd3)begin det0<=din; end
4'd3:if(V==4'd4)begin det0<=din; end
4'd4:if(V==4'd5)begin det0<=din; end
4'd5:if(V==4'd6)begin det0<=din; end
4'd6:if(V==4'd7)begin det0<=din; end
4'd7:if(V==4'd8)begin det0<=din; end
endcase
寫法2,加了else,但為了保留記憶,將output拉回指向自己:
always@(posedge clk)
case(out_sel)
4'd1:if(V==4'd2)begin det0<=din; end else det0<=det0;
4'd2:if(V==4'd3)begin det0<=din; end else det0<=det0;
4'd3:if(V==4'd4)begin det0<=din; end else det0<=det0;
4'd4:if(V==4'd5)begin det0<=din; end else det0<=det0;
4'd5:if(V==4'd6)begin det0<=din; end else det0<=det0;
4'd6:if(V==4'd7)begin det0<=din; end else det0<=det0;
4'd7:if(V==4'd8)begin det0<=din; end else det0<=det0;
endcase
合成結果:
用design compiler合出來的D-FF不太一樣,
寫法1是合出帶有CK,E,Q,QN的另一種DFF,比較不像是平常呼叫D-FF module
的那種標準元件
寫法2是合出帶有CK,D,Q及一個多工器的標準記憶D-FF
奇怪的是寫法2,也就是有加else的電路gate count卻比沒加的多了一些,
我知道有些人說不管怎樣都一定要寫,比較保險,也比較嚴謹,但是這樣gate count
就增加了,划得來嗎? 模擬起來結果也都是一樣的
但奇怪的是,平常寫單獨寫D-FF module時,為了能夠有記憶的特性
也不會在enable後面再加else阿?
而一般推崇也都是這樣的寫法:
module dff8(Q, D, enable, reset, clk); //8bit D-filp-flop
output [7:0] Q;
input [7:0] D;
input clk, reset,enable;
reg [7:0] Q;
always @(posedge clk or negedge reset)
begin
if (reset==0) Q<=0;
else if (enable)Q<=D;
end
endmodule
...讓我有點搞糊塗了
--
※ 發信站: 批踢踢實業坊(ptt.cc)
◆ From: 120.101.8.201
※ 編輯: asd1436 來自: 120.101.8.201 (12/13 22:11)
... <看更多>